回数 第5回 課題 電子サイコロ
目的 順序論理回路の応用として、電子サイコロを製作する。
前回に引き続き、電子サイコロの制御回路を製作して完成させる。完成した電子サイコロの動作を
ロジックアナライザで確認する。
到達目標
(2週連続)
(1) 4ビットのカウンタを製作し、LEDの点滅で動作を確認する。[実習CP1]
(2) 7セグメントLEDの、各セグメントを個別に点灯させる。[実習CP2]
(3) デコーダ74247の入力端子を個別にON/OFF設定し数値表示を確認する。[実習CP3]
(4) 4ビットカウンタの出力で、数値表示のカウントアップを確認する。[実習CP4]
(5) 4ビットカウンタを、6進カウンタに改造する。0〜5の出力になるようにする。[実習CP5]
(6) 6進カウンタを改変し、1〜6の値が出力されるように回路設計して、電子サイコロを完成させる。
[実習CP6]
(7) 電子サイコロの動作をロジックアナライザで観測する。[実習CP7]
作業手順 (1) 4ビットのカウンタを、7474で製作する。
(2) LEDを接続して、カウントアップの動作を確認する。[チェックポイント1]
(3) 7セグメントLEDの、各セグメント単位での点灯を確認する。[CP2]
(4) デコーダ素子を動作させる。入力a〜dは、スイッチを使っても良いし、その都度VCCに接続しても構わないので、デコーダを通して、4ビットの値を切り替えて、0〜9までの数値表示を確認する。[CP3]
(5) 4ビットカウンタの出力と、デコーダの入力を接続する。[チェックポイント4]
(6) D-FFのCLR端子などを使い、出力に対して「状態判定回路」(組み合わせ論理回路)を付け加えて、5⇒6に切り替わった際に、FFがクリアされる(0になる)ように、回路を設計製作する。[チェックポイント5]
(7) さらに出力が1〜6になるように、回路を工夫する。(「S」の条件)[チェックポイント6]
(8) ロジックアナライザを使用する。(評価「S」の条件)[チェックポイント7]
注意点 A. 制御回路の作り方、カウンタの作り方は一通りではない。上記の手順は一例であって、
 他にもアプローチはあるので、自分の発想で試してみると、さらに良い。
B. ロジックアナライザの使用方法については、花泉先生のサイトを参照してください。
考察課題 別途資料、課題4.1〜4.3参照のこと
電子サイコロの制御回路について、どう考えてその回路を製作したか、説明する。
その他